毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 论文 >> 正文

智能数字控制系统 第10页

更新时间:2008-3-11:  来源:毕业论文

智能数字控制系统 第10页

二、 模块及模块功能

模块74LS1392-4译码器,此模块可从VHDL提供的元件库中直接调出。74LS139可同时实现两组2-4译码器的功能。此设计中只用到它其中的一组:G1NA1B1Y10Y11Y12Y13

模块SAN为三态缓冲器。采用MAX+PLUSⅡ编写的过程如下:

1、启动MAX+PLUS

进入Windows,双击MAX+PLUSⅡ图标,就可以进入如下图所示的MAX+PLUSⅡ主画面。

2、建立设计项目

首先在所需要的盘符上建立起用英文命名的文件夹,例如在E盘上建立zh文件夹。在zh文件夹上建立设计项目。建立项目的主要好外是便于管理和处理文件。启动File/Project/Name菜单,出现如下图所示的建立设计项目框。选择Ezh文件夹,输入设计项目的名字SAN,点击OK,则建立一个名为SAN的设计项目,所有关于SAN的文件都存在这个设计项目里。

1、新建文件

单击File菜单后,选择New选项,屏幕如下图所示。

系统提示选择新建文件的类型,其中Graphic Editor file用于图形方式输入,可以保存为“.sch”和“.gdf”两种文件格式,选择“.gdf”格式。Symbol Editor file是符号编辑方式输入。Waveform Editor file是用于仿真的波形方式输入,可存为“.scf”和“.wdf”两种文件格式,选择“.scf”文件格式。Text Edit file为文本编辑方式,用于编辑VHDL源代码程序。

1、文件编辑

设计SAN模块时用文本编辑。点击File/New,选择TextEditorfile项,点击OK按钮,进入文本编辑界面。如下图所示:

进入文本编辑后首先要保存文件,点击File/Project/Set Project to Current File选项,选择Ezh文件夹,将文件保存为san.vhd文件,点击OK按钮,文本文件即被保存到当前设计项目中,这是另一种存文件的方法。注意,必须把文件存为“.vhd”,这样才能以不同颜色的字体显示字,在实际的操作过程中,VHDL的保留字会变成其他颜色,这些保留字不可以作为程序的标识符。接着就可以写入源程序了。SAN模块的源程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity san is

      port(aa:in std_logic_vector(7 downto 0);

              en:in std_logic;

              bb:out std_logic_vector(7 downto 0));

end san;

architecture san_arc of san is

begin

      process(aa,en)

             begin

                    if en='0' then

                           bb<=aa;

                    else

                           bb<="ZZZZZZZZ";

                    end if;

      end process;

end san_arc;

源程序写入后,再次保存,文本输入就完成了。在实际的操作中,文本输入完毕后,一般会进行编译,系统在编译前会进行自动保存,所以不用刻意地保存文件。

1、编译

启动MAX+PLUS/Compiler菜单,出现编译界面。先给定器件,单击Asign/device栏,这里使用的是EPM7128SLC84-15,它在MAX7000S系列里,而且不选中Show Only Fastest Speed Grades复选框,单击OK

在编译界面中单击Start键或者按快捷键<Ctrl+K>开始编辑,并且显示编译结果,生成的.pof.cnt文件,在硬件下载和编程时调用。同时产生.rpt文件,

详细查看编译结果,可查看使用的系统资源的情况。

如果编译时发现错误,将使编译中断,由信息管理器给出错误,并在源文件中进行定位,找出错误所在。错误等级共有四种:note(注意)warning(警告)、 error(出错)、failure(失败)。

在编译前或编译后,单击File/Creat Defalt Symbol,将使所编辑的文件自动生成一个模块,以后就可以在图形输入时直接调用。

三、       模块组合

新建一个图形编辑器,可以在Enter Symbol编辑框的Symbol Name中输入元件名,或者从Symbol Libraries中鼠标双击元件名。从mf元件库中调入74139元件,从zh中调出三个san元件,从prim元件库中调出一些输入输出元件。

管脚连线:把鼠标移到引脚附近,鼠标光标由箭头变成十字,按住鼠标左键拖动,即可画连线,连线的粗细、线型以及管脚名字的大小,字型均可修改。特别注意正确连线,如果连接点正确,系统会自动产生连接的黑点。每个图形都得有输入端和输出端,在调用输入管脚(input)、输出管脚(output)的时候,输入管脚接图形的输入端,输出管脚接图形输出端。连接好的原理图如总体框图所示。

点击保存按钮,可以对原理图进行保存,扩展名为.gdf,取名为t8155.gdf

四、       仿真

打开下图所示的窗口,点中Waveform Edictor file,打开波形编辑器。若图片无法显示请联系QQ752018766

在上图中单击Node菜单,在下拉菜单中选择Enter Node From SNF,或者直接点击右键,在弹出的菜单中选择Enter Node From SNF。出现一个窗口,再单击List按钮,将显示所有管脚,选中所需要管脚,如果要全选,则可使用=>,全选后点击OK按钮后,屏幕如下a图所示。

给定ena0a1的输入,单击垂直的工具条,给定输入。

文件保存后自动存为t8155.scf,点击OK按钮。

点击MAX+PLUXⅡ,将出现b图所示的对话框,单击Start按钮,软件将自动进行仿真。

仿真完成后,按OK按钮确定,点击Open SCF按钮,可以打开仿真结果。

上一页  [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]  ... 下一页  >> 

智能数字控制系统 第10页下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©751com.cn 辣文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。