毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 论文 >> 正文

智能数字控制系统 第9页

更新时间:2008-3-11:  来源:毕业论文

智能数字控制系统 第9页

令为:PlaceTrack。同一个面上的线不可以交叉,相通的线在不同的面上要放置过孔,过孔的大小设为50。孔内径为28。执行的命令:CurrentVia Size/Via Hole Size.设置过孔的命令为:PlaceVia。在此焊盘上,大体上正面布的是横线,反面布的是竖线。最后画的是焊盘的外框线,此线在每一层在都需要画,便于在每一层进行检查时都有外框线。

一、检查

PCB图完成之后,需要对所有的连线进行检查,以确保连接的正确性。对每个点设置高亮度,可检查出与此点相连的所有的点。操作如下:Hight

lightNet,选中要检的点即可。

PCB图见附表。

二、生成网络表

     PCB图完成之后,接下来的任务就是要生成网络表。操作如下:Hight

LightMake Netlist,自动生成网络表。

第四章   智能数字控制系统 第9页装置的仿真调试

41  脱机调试

      用万能表或逻辑测试笔逐步按照逻辑图检查机中各器件的电源及各引脚的连线是否正确,检查数据总线、地址总线的控制总线是否有短路等故障。有时为保护芯片,先对各管座的电位(或电源)进行检查、确定其无误后再插入芯片检查。

 42   调试硬件

     首先检查、排除硬制电路板电源与地线的开路与短路,将仿真插头插到用户单片机插座时,不要插错、插反,必要时用万用表确认一下双方的1号脚,接通仿真机和用户系统电源时,仿真机应能正常工作,仿真电缆是经过信号驱动器隔离的,硬制电路板有故障,一般不会影响仿真机工作。如果不工作,首先检查电源,再检查电路板系统单片机的RST脚电平状态,若是处于复位状态,应排除此故障。

4. 3   调试软件

调试系统数据空间,使用仿真器提供的命令对系统数据的存储器,I/O芯片进行读写应正常,则数据,地址总线、/WR/RD、片选信号有故障,可用测试程序或用示波器逐级观察波形找出故障,可在仿真器I状态通过键盘输入如下程序:

控制口的地址为FFF8H,在控制口输入控制字0FH,此时8155PA口,PB口,PC口均设为输出口。其中PA口地址为FFF9HPB口地址为FFFAHPC口地址为FFFBH。首先调试A口的输出情况:输入PA口地址,并依次输入PA口的内容:01H02H04H08H10H20H40H80H。分别测试数码管的对应位是否正常显示。若均正常显示,刚表示PA口的输出正确。若没有正常显示可根据未正常显示时输入的PA口的内容,根据PA口输入的内容,判断PA口的哪一个管脚出现了问题。若全部都没有正常显示可能是8155的工作状态出现了问题,这时需要测试8155的一些控制信号。若数码管的有些位显示正常而有些位不正常,这时,问题可能出现在不正常显示的管脚的接线是否正常,可用万用表测试是PA口的输出出现了问题还是数码管的电位不正确。在测试PB口时,输入PB口地址,与PA口一样,输入PB口的内容,由于PB口接的是键盘的列,并不能直观的从数码管中看出输出的正确与否,这时需要用万用表测输出端的电压来判断输出是否正确。测试PC端口的输出情况时,从仿真器的键盘中输入PC口的端口地址,然后依次输入PC口的内容:FEHFDHFBHF7HEFHDFH。由于PC口控制键盘的行和数码管的位。在输入以上内容时,数码管只有选中对应的一个会亮,若结果不正确,则要分析8155的工作状态,PC口的输出状态和数位管的位选管脚的连接状况找出问题的所在。

第五章  外围电路的优化

51  VHDL语言与MAX+PLUS Ⅱ简介

511  VHDL语言简介

VHDLVery High Speed Integrated Circuit Hardware Description

Language,是符合美国电气和电子工程师协会标准(IEEE标准1076)的超高速集成电路硬件描述语言,它可以用一种形式化的方法来描述数字电路的设计数字逻辑系统。利用VHDL进行自顶向下的电路设计,并结合一些先进的EDA工具软件,可以极大地缩短产品的设计周期,加快产品进入市场的步伐,在当今高速发展的信息时代,可以更好地把握商机

VHDL适应实际电路系统的工作方式,以并行和顺序的多种主句方式来描述在同一时刻中所有可能发生的事件。因此,要求系统设计人员摆脱一文的思文模式,以多文开发的思路来完成VHDL的程序设计。

一个成功的VHDL工程设计,其评判的标准包括:是否完成功能要求、满足速度要求、并考虑其可靠性以及资源的占用情况。在具体的工程设计中,必须清楚软件程序和硬件构成之间的联系,在考虑语句能够实现的功能的同时,要考虑实现这些功能可能付出的硬件代价。在编程过程中某个不恰当的语句、算法或可省去的操作都可能带来硬件资源的浪费,因此,在保证完成功能的条件下,应该合理而有效地利用VHDL语言所提供的各种语法条件,尽量地优化算法,从而节约硬件资源。

5.1.2   MAX+PLUSⅡ简介

MAX+PLUS Ⅱ是美国ALTERA公司自行开发的一种对其公司生产的系列FPGA产品进行设计、仿真、编程的软件工具,它接受对一个电路设计的图形描述(电路图)或文本描述(硬件描述语言),通过编辑、编译、仿真、综合及FPGA编程等一系列过程,将用户所设计的电路原理图或电路描述转变为FPGA内部的基本逻辑单元,写入芯片中。MAX+PLUSⅡ具有丰富的元件库,能支持多种编辑方式,并具有强大的编译、逻辑仿真和综合能力。

5.2            8155VHDL程序设计

一、工作原理

8155是单片机应用系统中广泛使用的芯片。它采用单一+5V供电,所以可以用可编程逻辑器件对它进行写操作。8155的输出有三个端口,可采用两位地址线通过译码分别控制三个端口的输出情况。

总体框图如下:若图片无法显示请联系QQ752018766

上一页  [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]  ... 下一页  >> 

智能数字控制系统 第9页下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©751com.cn 辣文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。