毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 论文 >> 正文

基于VHDL语言的FIR滤波器设计 第4页

更新时间:2008-5-26:  来源:毕业论文

基于VHDL语言的FIR滤波器设计 第4页

奇对称   h(n)=-h(N-1-n),

其对称中心在 处。所谓线性相位特性是指滤波器对不同频率的正弦波所产生的相移和正弦波的频率成直线关系。

若图片无法显示请联系QQ752018766

3-3 线性相位FIR滤波器结构

上图显示了线性相位FIR滤波器的结构。可以看出,线性相位FIR滤波器的固有对称属性可以降低所需要的乘法器的数量,它使得乘法器的数量降低了一半,而加法器的数量则保持不变。

3.4 FIR滤波器的设计

FIR滤波器设计方法以直接逼近所需离散时间系统的频率响应为基础。设计方法包括窗函数和最优化方法(等同波纹法)。其中窗函数设计方法是设计FIR数字滤波器最简单的方法,也是最常用的方法之一。

3.4.1 采用窗函数方法设计线性相位FIR滤波器的方法

任何数字滤波器的频率响应 都是w的周期函数,它的傅立叶展开式为:

若图片无法显示请联系QQ752018766    a

其中,

傅立叶系数h(n)实际上就是数字滤波器的冲激响应。获得有限冲激响应数字滤波器的一种可能方法就是把a式的无穷级数截取为有限级数来近似。

窗函数法用被称为窗函数的有限加权系列w(n)来修正a式的傅立叶级数,以求得要求的有限冲激响应序列 ,即有:若图片无法显示请联系QQ752018766

=h(n)w(n)

W(n)是有限长序列,当n>N-1n<0时,w(n)=0。这里我们仅以冲激响应对称,即h(n)=h(N-1-n)(n=0,1,2,…N-1)时低通滤波器为例进行说明。低通滤波器的频率响应函数 如下图所示:

,            b

时为0,其中w为对抽样频率归一化的角频率,  为归一化截止角频率。利用反傅立叶变换公式求出于b式对应的冲激响应函数h(n)为:3.4.2 几种窗函数及窗函数选择原则

设计FIR滤波器常用的窗函数有:矩形窗函数、三角窗函数、汉宁窗函数、海明窗函数、布拉克曼窗函数和凯泽窗函数。具体指标如下表:

窗的类型

最大旁瓣幅度

(相对值)

过渡带宽度

最大逼近误差

等效凯泽窗β

矩形

-13

4π/N

-21

0

三角

-25

8π/N

-25

1.33

汉宁

-31

8π/N

-44

3.86

海明

-41

8π/N

-53

4.86

布拉克曼

-57

12π/N

-74

7.04

3-1 窗函数指标

窗函数的选择原则是:

(1)       具有较低的旁瓣幅度,尤其是第一旁瓣幅度。

(2)       旁瓣幅度下降速度要块,以利增加阻带衰减。

(3)       主瓣的宽度要窄,以获得较陡的过渡带。

通常上述几点很难同时满足。当选用主瓣宽度较窄时,虽然得到较陡的过渡带,但通带和阻带的波动明显增加;当选用最小的旁瓣幅度时,虽然能得到匀滑的幅度响应和较小的阻带波动,但是过渡带加宽。因此,实际选用的窗函数往往是他们的折中。在保证主瓣宽度达到一定要求的情况下,适当牺牲主瓣宽度来换取旁瓣波动的减少。

3.4.3 等同波纹设计方法

窗函数存在着某些缺陷。首先,在设计中不能将边缘频率 精确的给定,这就是说,在设计完成之后,无论得倒任何值都必须接受。其次,不能够同时标定汶波因子 ,在窗函数法上只能设定 = 。最后,近似误差在频带区间上不是均匀分布的。在靠近频带边缘误差越大,远离频带边缘误差越小。

一种非常有效的解决这种问题的FIR滤波器就是等同波纹FIR滤波器。对于线性相位的FIR滤波器来说,有可能得到一组条件,对这组条件能够证明,在最大近似误差最小化的意义下,这个设计是最优的。具有这种性质的滤波器就称为等同波纹滤波器。因为近似误差在通带和阻带上都是均与分布的。

等同波纹法是采用Parks-McClellan迭代方法来实现的。与直接频率法相比,等同波纹设计方法的优点在于通频带和抑制带偏差可以分别指定,且实现相同指标的滤波器时所用的滤波器阶数较小。

3.4.4 MATLAB设计FIR滤波器

MTALABSIGNAL PROCESSING TOOLBOX中有一个专门的数字滤波器设计软件模块FDATool(Filter Design & Analysis Tool),其功能强大,可以设计多种滤波器,而且可以采用多种方法设计FIR滤波器,包括窗函数法和等同波纹法。它使用起来非常直观有效,在输入了设计要求和选择了设计方法后,计算出各阶系数,并以图形的直观方式显示出幅频、相频、冲激响应和零极点图。它还可以把各阶系数以二进制补码的形式导出到文本文件中去,方便了系数的转换。

3.5 FIRIIR数字滤波器的比较

1)在相同的技术指标下,IIR滤波器由于存在这输出对输入的反馈,所以可用比FIR滤波器较少的阶数来满足指标的要求,所用的存储单元少,运算次数少,较为经济。例如,用频率抽样法来设计阻带衰减为-20dBFIR滤波器,其阶数要33阶才能达到,而用双线性变换法设计只需要4-5阶的切贝雪夫IIR滤波器即可达到指标要求,所以FIR滤波器的阶数要高5-10倍左右。

2FIR滤波器可得到严格的线性相位,而IIR滤波器做不到这一点,IIR滤波器的选择性越好,其相位的非线性越严重。因而,如果IIR滤波器要得到线性相位,又要满足幅度滤波的技术要求,必须加全通网络进行相位校正,这同样会大大增加滤波器的阶数。从这一点上看,FIR滤波器又优于IIR滤波器。

3FIR滤波器主要采用非递归结构,因而无论是从理论上还是从实际的有限精度的运算中它都是稳定的,有限精度运算的误差也比较小。IIR滤波器必须采用递归结构,极点必须在z平面单位圆内才能稳定,对于这种结构,运算中的四舍五入有时会引起寄生振荡。

4)对于FIR滤波器,由于冲激响应是有限长的,因而可以用快速傅立叶变换算法,这样运算速度可以快得多。IIR滤波器则不能这样运算

5)从设计上看,IIR滤波器可以利用模拟滤波器设计的现成的闭合公式、数据和表格,因而计算工作量较小,对计算工具要求不高。FIR滤波器则一般没有现成的设计公式,窗函数法只给出窗函数的计算公式,但计算通带、阻带衰减仍无显示表达式。一般FIR滤波器设计仅有计算机程序可资利用,因而要借助计算机。

6IIR滤波器主要是设计规格化的、频率特性分为分段常数的标准低通、高通、带通、带阻、全通滤波器。FIR滤波器则要灵活的多,例如频率抽样设计法,可适应各种幅度特性及相位特性的要求,因而FIR滤波器可设计出理想正交变换器、理想微分器、线性调频器等各种网络,适应性较广。而且,目前已有许多FIR滤波器的计算机程序可供给使用。

从以上比较可以看出,IIR滤波器与FIR滤波器各有特点,所以可以由实际应用时的要求,从多方面考虑来加以选择。

4 FIR滤波器的设计

数字滤波器无论是采用硬件实现还是软件实现的方案,首先应确定出数字滤波器的运算结构图。同一个系统函数或差分方程可以采用不同的结构来实现,而结构的不同又会影响系统的精度、稳定性、运算速度和运算单元的多少等许多重要的性能指标。本论文采用直接型结构设计了一个低通滤波器。由EDA试验箱提供的模拟信号做为输入信号,使用TLC5510芯片D/A转换为8位的数字信号,经过卷积运算后将输出的数据进行截取,取其高8位,输入到A/D转换芯片,由示波器观察滤波后的输出。此外为了直观的显示数字滤波的每一个输出数据,将卷积运算后的结果进行进制转换,且使用了一个锁存器对其结果进行锁存,然后在数码管上观察数字信号。

4.1 FIR滤波器的模块划分

4.1.1 方案确定

1FIR滤波器的设计指标

采样频率:120KHZ

通带截止频率:5KHZ

阻带起始频率:25KHZ

类型:低通

输入数据宽度:8

系数数据宽度:8

阶数:8

2.参数提取

使用MATLAB软件Toolbox中的Filter Design,选择低通滤波器,Fs=120KHZ,Fpass=5KHZ,Fstop=25KHZ,阶数为8位,线性相位。设计出符合设计指标的线性相位8FIR数字低通滤波器的特征参数并转换为8位二进制补码如下:

h(0)=h(7)= 00000011;

h(1)=h(6)= 00011000;

h(2)=h(5)= 00101010;

h(3)=h(4)= 00111001;若图片无法显示请联系QQ752018766

设计的FIR低通滤波器的幅频和相频特性如图所示:

上一页  [1] [2] [3] [4] [5] [6] [7] [8] [9] 下一页

基于VHDL语言的FIR滤波器设计 第4页下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©751com.cn 辣文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。