毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 课程设计 >> 正文

CPSK调制VHDL程序及仿真源代码 第3页

更新时间:2010-4-3:  来源:毕业论文
CPSK调制VHDL程序及仿真源代码 第3页
signal q:integer range 0 to 3;       --分频
signal xx:std_logic;              --寄存相对码
begin
process(clk,x)                   --此进程完成相对码到绝对码的转换
begin
if clk'event and clk='1' then
   if start='0' then q<=0;
   elsif q=0 then q<=1;
   elsif q=3 then q<=0; y<=xx xor x; xx<=x;  --输入信号x与前一输入信号xx进行异或
   else q<=q+1;
   end if;
end if;
end process;
end behav;
2. 相对码-绝对码转换VHDL程序仿真图及注释
相对码到绝对码的转换程序仿真图及注释如图8.11.19所示。
 (a)相对码到绝对码的转换程序仿真全图
 注:a.当q=3时,输出信号y是信号x与xx(输入信号x延时一个基带码长)的异或。
    b.输出信号y滞后于输入信号x 一个基带码长(4个clk)。
(b)相对码到绝对码的转换程序仿真局部放大图
图8.11.19 相对码到绝对码的转换程序仿真图及注释

上一页  [1] [2] [3] 

CPSK调制VHDL程序及仿真源代码 第3页下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©751com.cn 辣文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。